波形发生器设计 (3).doc
《波形发生器设计 (3).doc》由会员分享,可在线阅读,更多相关《波形发生器设计 (3).doc(18页珍藏版)》请在沃文网上搜索。
1、 目录一、总体思路61.1设计思想61.2流程图6二、各单元设计72.1 分频器的实现72.2 正弦波、三角波、方波的实现82.2.1正弦波设计92.2.2三角波设计122.2.3方波设计142.3波形输出控制单元15三、总电路设计16四、安装与调试174.1正弦波184.2三角波184.3方波194.4频率控制20五、总结体会21参考文献21一、总体思路1.1设计思想基于VHDL语言设计一个简易多功能信号发生器,通过选入输入信号,可以输出正弦波、三角波、方波和锯齿波四种波形信号。信号发生器的控制模块可以用数据选择器实现,四种信号的信号选择可以用4选1数据选择器实现。同时本设计使用原理图的方法
2、,对正弦波、三角波、方波和锯齿波和4选1数据选择器元件进行调用。1.2流程图流程图如下图1所示。二、各单元设计2.1 分频器的实现本次设计采用50MHz的时钟频率,设计要求输出0.1KHz1KHz的波形。可知,需要一个分频模块对时钟频率进行分频。结合三个波形发生模块的程序可知,正弦、方波、三角波产生算法分别进行了256、256、512分频。要三个波形同频,三角波发生模块的输入脉冲应该是另外两者的2倍。应为分频数较大,采用二次分频。一次分频参数由外部输入,二次分频参数固定。经过计算得出分频参数表如下:要求输出频率算法分频一次分频参数二次分频1K25620100.9K25622100.8K2562
3、6100.7K25630100.6K25634100.5K25640100.4K25650100.3K25666100.2K256100100.1K25620010分频模块原理图如下:2.2 正弦波、三角波、方波的实现三个波形的发生:2.2.1正弦波设计 正弦波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示正弦波形。产生正弦波的VHDL代码如下:-正弦波library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigne
4、d.all; entity zx is port(clk,reset:in std_logic; d:out integer range 0 to 255); end zx; architecture behave of zx is begin process(clk,reset) variable tmp:integer range 0 to 127; begin if reset=0 then ddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddd
5、ddddddddddddddddddddddddddddddnull; end case; end if; end process; end behave;2.2.2三角波设计三角波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示三角波形。产生三角波的VHDL代码如下:-三角波 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sj is port(clk,reset:in s
6、td_logic; q3:out std_logic_vector(7 downto 0); end sj; architecture behave of sj is begin process(clk,reset) variable tmp:std_logic_vector(7 downto 0); -?tmp?variable a:std_logic; -?a? begin if reset=0 then tmp:=00000000; elsif clkevent and clk=1 then if a=0 then -a?0?a?1? if tmp=11111110 then tmp:=
- 1.请仔细阅读文档,确保文档完整性,对于不预览、不比对内容而直接下载带来的问题本站不予受理。
- 2.下载的文档,不会出现我们的网址水印。
- 3、该文档所得收入(下载+内容+预览)归上传者、原创作者;如果您是本文档原作者,请点此认领!既往收益都归您。
下载文档到电脑,查找使用更方便
20 积分
下载 | 加入VIP,下载更划算! |
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 波形发生器设计 3 波形 发生器 设计