欢迎来到沃文网! | 帮助中心 分享知识,传播智慧!
沃文网
全部分类
  • 教学课件>
  • 医学资料>
  • 技术资料>
  • 学术论文>
  • 资格考试>
  • 建筑施工>
  • 实用文档>
  • 其他资料>
  • ImageVerifierCode 换一换
    首页 沃文网 > 资源分类 > DOC文档下载
    分享到微信 分享到微博 分享到QQ空间

    波形发生器设计 (3).doc

    • 资源ID:830214       资源大小:3.17MB        全文页数:18页
    • 资源格式: DOC        下载积分:20积分
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: QQ登录 微博登录
    二维码
    微信扫一扫登录
    下载资源需要20积分
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,下载更划算!
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    波形发生器设计 (3).doc

    1、 目录一、总体思路61.1设计思想61.2流程图6二、各单元设计72.1 分频器的实现72.2 正弦波、三角波、方波的实现82.2.1正弦波设计92.2.2三角波设计122.2.3方波设计142.3波形输出控制单元15三、总电路设计16四、安装与调试174.1正弦波184.2三角波184.3方波194.4频率控制20五、总结体会21参考文献21一、总体思路1.1设计思想基于VHDL语言设计一个简易多功能信号发生器,通过选入输入信号,可以输出正弦波、三角波、方波和锯齿波四种波形信号。信号发生器的控制模块可以用数据选择器实现,四种信号的信号选择可以用4选1数据选择器实现。同时本设计使用原理图的方法

    2、,对正弦波、三角波、方波和锯齿波和4选1数据选择器元件进行调用。1.2流程图流程图如下图1所示。二、各单元设计2.1 分频器的实现本次设计采用50MHz的时钟频率,设计要求输出0.1KHz1KHz的波形。可知,需要一个分频模块对时钟频率进行分频。结合三个波形发生模块的程序可知,正弦、方波、三角波产生算法分别进行了256、256、512分频。要三个波形同频,三角波发生模块的输入脉冲应该是另外两者的2倍。应为分频数较大,采用二次分频。一次分频参数由外部输入,二次分频参数固定。经过计算得出分频参数表如下:要求输出频率算法分频一次分频参数二次分频1K25620100.9K25622100.8K2562

    3、6100.7K25630100.6K25634100.5K25640100.4K25650100.3K25666100.2K256100100.1K25620010分频模块原理图如下:2.2 正弦波、三角波、方波的实现三个波形的发生:2.2.1正弦波设计 正弦波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示正弦波形。产生正弦波的VHDL代码如下:-正弦波library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigne

    4、d.all; entity zx is port(clk,reset:in std_logic; d:out integer range 0 to 255); end zx; architecture behave of zx is begin process(clk,reset) variable tmp:integer range 0 to 127; begin if reset=0 then ddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddddd

    5、ddddddddddddddddddddddddddddddnull; end case; end if; end process; end behave;2.2.2三角波设计三角波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示三角波形。产生三角波的VHDL代码如下:-三角波 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sj is port(clk,reset:in s

    6、td_logic; q3:out std_logic_vector(7 downto 0); end sj; architecture behave of sj is begin process(clk,reset) variable tmp:std_logic_vector(7 downto 0); -?tmp?variable a:std_logic; -?a? begin if reset=0 then tmp:=00000000; elsif clkevent and clk=1 then if a=0 then -a?0?a?1? if tmp=11111110 then tmp:=

    7、11111111; a:=1; else tmp:=tmp+1; end if; else if tmp=00000001 then tmp:=00000000; a:=0; else tmp:=tmp-1; end if; end if; end if; q3=tmp; end process; end behave;2.2.3方波设计方波波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示方波波形。产生方波的VHDL代码如下:library ieee; use ieee.std_logic

    8、_1164.all; use ieee.std_logic_unsigned.all;entity fb is port(clk,reset: in std_logic; q6:out std_logic_vector(7 downto 0); end fb; architecture behave of fb is signal a:std_logic; begin process(clk,reset) variable tmp:std_logic_vector(7 downto 0); begin if reset=0then a=0; elsif rising_edge(clk) the

    9、n if tmp=11111111then tmp:=00000000; else tmp:=tmp+1; end if; if tmp=10000000then a=1; else a=0; end if; end if; end process; process(clk,a) begin if rising_edge(clk)then if a=1 then q6=11111111; else q6=00000000; end if; end if; end process; end behave;2.3波形输出控制单元波形输出控制单元中只包括一个数据选择器模块。其器件图如图所示:数据选择

    10、器模块在该模块为3选1的数据选择器,包括3个数据输入端,1个数据选择输入端和1个数据输出端。其功能是根据数据选择输入端输入的数据来选择相应的数据送到输出端。从而实现数据的选择输出。三、总电路设计原理图简易多功能信号发生器的原理图如下:简易多功能信号发生器原理图原理图本设计的主体思想是各个模块分别产生相应的波形,再通过一个3选1数据选择器输出相应的波形。通过其他开关控制波形的频率。四、安装与调试 本课程设计是简易多功能信号发生器,一共有7个输入端和8个输出端。具体的输入输出端可见图1中所示。根据引脚所锁定图将系统中的各个输入输出端口锁定到合适的引脚上。另外,本实验输入的时钟频率是50MHz。当引

    11、脚锁定完毕后,将程序下载到芯片中。把输出的8个引脚接到并行D/A转换芯片的8个输入端,连接好芯片,把芯片的输出接到示波器,把各个输入的拨码开关给定无误的值,即在示波器上得到相应的输出波形。4.1正弦波在clk端输入50MHz的时钟信号,当图1的原理图中的sel11.0输入“01”时得到的是正弦波波形,如图10所示。改变sel23.0的值可以该变输出波形的频率。4.2三角波在clk端输入50MHz的时钟信号,当图1的原理图中的sel1 1.0输入“10”时得到的是三角波波形,如图11所示。改变sel23.0的值可以该变输出波形的频率。4.3方波在clk端输入50MHz的时钟信号,当图1的原理图中

    12、的sel11.0输入“11”时得到的是方波波形,如图12所示。改变sel23.0的值可以该变输出波形的频率。4.4频率控制改变sel3.0的值频率变化如图 所示: 200Hz 400Hz五、总结体会本设计使用Quartus II进行编程,本系统实现了可以输出三种波形的简易多功能波形发生器,硬件调试结果证明,该设计正确有效。可以作为制作实物的依据。波形发生器在很多场合都有应用,我一直很感兴趣,借做课设的机会,认真的研究了一下这门技术。发现EDA技术比我们想象中的还要有用。在设计中我发现,C语言的编程思想也可以在VHDL语言中使用,事半功倍。到今天虽然设计基本上完成了,但也还是有不少的缺陷的,我对

    13、于EDA技术的了解与使用还仅仅是停留在入门的阶段,想要有更多的了解,还待好好学习、深入研究,也还要更多的努力和实践。参考文献1 潘松,黄继业 EDA技术实用教程北京:科学出版社,20022 甘历VHDL应用与开发实际北京:科学出版社,20033 孙延鹏,张芝贤VHDL与可编程逻辑器件应用航空工业出版社,20064 赵明富,李立军,石新锋,沈献博EDA技术基础北京大学出版社,20075 黄仁欣EDA技术实用教程清华大学出版社,2006电气与信息工程系课程设计评分表项 目评 价优良中及格差设计方案的合理性与创造性(10%)硬件设计或软件编程完成情况(10%)硬件测试或软件调试结果*(10%)设计说明书质量(10%)设计图纸质量(10%)答辩汇报的条理性和独特见解(10%)答辩中对所提问题的回答情况(10%)完成任务情况(10%)独立工作能力(10%)出勤情况(10%)综 合 评 分 指导教师签名:_ 日 期:_ 注:表中标*号项目是硬件制作或软件编程类课题必填内容; 此表装订在课程设计说明书的最后一页。课程设计说明书装订顺序:封面、任务书、目录、正文、评分表、附件(非16K大小的图纸及程序清单)。 18


    注意事项

    本文(波形发生器设计 (3).doc)为本站会员(精***)主动上传,沃文网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知沃文网(点击联系客服),我们立即给予删除!




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服点击这里,给沃文网发消息,QQ:2622162128 - 联系我们

    版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

    Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1

    陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922