欢迎来到沃文网! | 帮助中心 分享知识,传播智慧!
沃文网
全部分类
  • 教学课件>
  • 医学资料>
  • 技术资料>
  • 学术论文>
  • 资格考试>
  • 建筑施工>
  • 实用文档>
  • 其他资料>
  • ImageVerifierCode 换一换
    首页 沃文网 > 资源分类 > DOC文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于VHDL数字跑表的设计.doc

    • 资源ID:1031222       资源大小:671KB        全文页数:14页
    • 资源格式: DOC        下载积分:10积分
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: QQ登录 微博登录
    二维码
    微信扫一扫登录
    下载资源需要10积分
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,下载更划算!
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于VHDL数字跑表的设计.doc

    1、电 子 科 技 大 学现代电子技术综合实验论文报告论文题目 基于Xilinx FPGA的数字秒表设计 学生姓名 xxx 学号 xxx 学院 xxx 专 业 xxx 指导教师 xxx 中文摘要本文利用XILINX-ISE以及XILINX EDA教学实验开发板实现数字跑表的开始、暂停以及复位等功能。ISE工程利用VHDL语言编写,主要由时钟分频电路模块、主控电路模块、计数器模块、控制电路模块这几大模块构成。一、 引言21世纪是电子科技迅猛发展的时代,冰箱、电视、空调、汽车、相机、mp3等各种电子产品入住家家户户,关照着人们日常生活的每一个细节。人们的衣食住行乃至文化生活,早已离不开电子科技提供的优

    2、质服务。在科研领域,电子技术的应用更是大展拳脚,在通信、测量、勘探、航天甚至军事等领域都发挥着至关重要的作用。可以说,电子技术与网络技术、生物技术一其站在当今科学的最前沿,影响并改变着世界的样貌和人们的生活。数字跑表作为一个简易的数字集成电路的应用,被广泛应用在田径、游泳等体育项目中,在学校体育教学中也是必备的用具。数字跑秒使用简单,携带方便,在多种电气设备均有应用。数字跑表具有计时功能,本次设计的数字跑表可以精确到0.01秒,可以在某些坏境下做到较精确的计时。二、 项目任务与设计思路任务利用XILINX-ISE以及XILINX EDA教学实验开发板实现数字跑表指标:1、跑表精度为0.01秒2

    3、、跑表计时范围为:1小时3、设置开始计时/停止计时、复位两个按钮4、显示工作方式:用六位BCD七段数码管显示读数。显示格式:设计要求(1) 设计出符合设计要求的解决方案(2) 设计出单元电路(3) 利用EDA软件对各单元电路及整体电路进行仿真(4) 利用EDA软件在实验板上实现设计(5) 撰写设计报告思路通过分频器将晶振所提供的48MHz信号分频成100Hz时钟信号作为最低位计数器的计时信号,通过将6个计数器级联(异步级联),再经过数据选择器和显示译码器,以动态显示模式将显示译码器的段选信号分位输出给六个8段LED数码管显示,六位LED数码管显示分、秒,0.1s,0.01s。使用按键开关可实现

    4、暂停/继续计时操作,以及复位清零操作。三、 基于FPGA方法设计方案本设计可主要分为4个主要模块:(1) 时钟分频电路模块(输出为100Hz与1kHz时钟信号)(2) 主控电路模块(实现开始及暂停功能)(3) 计数器模块(由模十计数器和模六计数器级联)(4) 显示控制电路模块(包括数据选择和显示译码)四、 系统电路设计各个输入/输出端口的作用如下:clk为48MHz晶源信号;rst为复位清零信号;key为启/停开关,用于开始/暂停计时操作;reg7(6:0)是用来驱动数码管的八段段选码;display(2:0)是控制6个数码管的位选信号;ncs是使位选区工作的有效电平(低)。五、 系统单元模块

    5、设计分频模块设计设计思路:对输入的48MHz进行以下操作,要实现N分频,则输入源时钟信号clk每过N/2个上升沿,则对输出信号q0取反。源程序为:p1:process(clk)variable q0: integer range 1 to 480000:=1;begin if rising_edge(clk) then if q0480000 then q0:=q0+1;else q0:=1;end if;if q0=240000 then clk100hz=0;else clk100hz=1;end if;end if;end process;p2:process(clk)variable

    6、p0: integer range 1 to 48000:=1;begin if rising_edge(clk) then if p048000 then p0:=p0+1;else p0:=1;end if;if p0=24000 then clk1khz=0;else clk1khz=1;end if;end if;end process;仿真结果毫秒、秒、分各位波形模块设计思路:如同上述的对时钟信号的分频,这里可以将时钟信号继续分频,直到符合毫秒、秒、分的时间长度,再分别用3个变量对各位的单位周期时间进行计数,从而得到各位的时间值。源程序为:p3:process(clk100hz)be

    7、ginif start=1 then if rst=1 then if rising_edge(clk100hz) then if d19 then d1=d1+1; else d1=0; if d29 then d2=d2+1; else d2=0; if d39 then d3=d3+1; else d3=0; if d45 then d4=d4+1; else d4=0; if d59 then d5=d5+1; else d5=0; if d65 then d6=d6+1; else d6=0; end if; end if;end if;end if;end if;end if;en

    8、d if;else d1=0;d2=0;d3=0;d4=0;d5=0;d6=0;end if;end if;end process;仿真结果显示控制模块(包括数据选择和数码管驱动)设计思路:用1KHz的信号做一个模六的计数器,该计数器的每个状态对应一个输出位选信号reg的值(000至101)同时实现数据选择的功能并把选择的数据赋值给一直变量data(0000000至1111111),data的每个状态对应一个数码管驱动信号的值(8段码)。源程序为:p4:process(clk1khz)begin if rising_edge(clk1khz) then if s5 then s=s+1;els

    9、e s data data data data data data data= 0;end case; end process;reg7=0000001 when data=0 else 1001111 when data=1 else 0010010 when data=2 else 0000110 when data=3 else 1001100 when data=4 else 0100100 when data=5 else 0100000 when data=6 else 0001111 when data=7 else 0000000 when data=8 else 000010

    10、0 when data=9 else 1111111;ncs=0;div=CONV_STD_LOGIC_VECTOR(s,3);end Behavioral;控制模块设计思路:一共要设计两个按键,一个用来复位,一个用来控制启停。按键每按下时都会有一个下降沿出现,我们可以利用这个下降沿来做判断,来实现数字跑表的功能。对于复位按键,只需当下降沿来时对各位进行清零,对于启停按键来说,当下降沿来时则对控制模块的输出信号取反,如此则可达到目的。源程序为:p0:process(key)begin if falling_edge(key) then start=(not start);end if;end

    11、process;总仿真结果:六、 系统硬件实现与调试顶层设计思路在原理图文件里将之前编写好的各个模块创建而成的symbol的输入输出断连接起来,形成一个完整的数字跑表电路图,便会生成这个顶层文件。顶层设计源程序library ieee;use ieee.std_logic_1164.ALL;use ieee.numeric_std.ALL;library UNISIM;use UNISIM.Vcomponents.ALL;entity hua is Port ( clk : in STD_LOGIC; key : in STD_LOGIC:=1; rst : in STD_LOGIC:=1;

    12、reg7 : out STD_LOGIC_VECTOR (6 downto 0); div : out STD_LOGIC_VECTOR (2 downto 0); ncs : out STD_LOGIC);end hua;architecture Behavioral of hua issignal clk100hz,clk1khz: std_logic;signal d1,d2,d3,d4,d5,d6,data: integer range 0 to 9:=0;signal s: integer range 0 to 7:=0;signal start: std_logic:=1;begi

    13、np0:process(key)begin if falling_edge(key) then start=(not start);end if;end process;p1:process(clk)variable q0: integer range 1 to 480000:=1;begin if rising_edge(clk) then if q0480000 then q0:=q0+1;else q0:=1;end if;if q0=240000 then clk100hz=0;else clk100hz=1;end if;end if;end process;p2:process(c

    14、lk)variable p0: integer range 1 to 48000:=1;begin if rising_edge(clk) then if p048000 then p0:=p0+1;else p0:=1;end if;if p0=24000 then clk1khz=0;else clk1khz=1;end if;end if;end process;p3:process(clk100hz)beginif start=1 then if rst=1 then if rising_edge(clk100hz) then if d19 then d1=d1+1; else d1=

    15、0; if d29 then d2=d2+1; else d2=0; if d39 then d3=d3+1; else d3=0; if d45 then d4=d4+1; else d4=0; if d59 then d5=d5+1; else d5=0; if d65 then d6=d6+1; else d6=0; end if; end if;end if;end if;end if;end if;end if;else d1=0;d2=0;d3=0;d4=0;d5=0;d6=0;end if;end if;end process;p4:process(clk1khz)begin i

    16、f rising_edge(clk1khz) then if s5 then s=s+1;else s data data data data data data data= 0;end case; end process;reg7=0000001 when data=0 else 1001111 when data=1 else 0010010 when data=2 else 0000110 when data=3 else 1001100 when data=4 else 0100100 when data=5 else 0100000 when data=6 else 0001111

    17、when data=7 else 0000000 when data=8 else 0000100 when data=9 else 1111111;ncs=0;div=CONV_STD_LOGIC_VECTOR(s,3);end Behavioral;管脚分配:NET clk LOC = T8;NET div LOC = F8;NET div LOC = D8;NET div LOC = E7;NET reg7 LOC = A11;NET reg7 LOC = B12;NET reg7 LOC = A12;NET reg7 LOC = C12;NET reg7 LOC = C13;NET r

    18、eg7 LOC = A13;NET reg7 LOC = B14;NET ncs LOC = D7;NET key LOC = G6;NET rst LOC = F4;下载过程双击【 Generate Programing File】,完成后双击【 Generate Prom, ACE,or JTAG File】,弹出的对话框点击finish,在弹出的打开文件框里双击ZL.bit文件,之后点击OK,再点击Bybass,然后在ZL.bit的图标上右击点击Program,再点击OK,屏幕下方提示Program Succeeded。此时,把板上的开关1拨的低电平端,再按一次按键1,可成功观测到数码管

    19、中的六位成功显示出正确的数字跑表。七、 结束语过程故障分析与处理刚开始是把clr的pin设置的是按键2,但是由于按键弹上是一直就是处于高电平状态,即一直在执行清零操作,只有按住不放时跑表才能正确运行,后将clr管脚分配给拨动开关1,则解决了此问题;在更改了部分文件后,最后一步点击Generate Prom, ACE,or JTAG File时一直会提示输入时钟已更改但是bit文件仍保持没变,通过重启电脑解决。具体原因暂不明。收获通过这次的FPGA实验,重新编写并熟悉了以前接触过的但是没有熟悉运用过的vhdl语言,并且成功地将编写的程序组合成完整的电路,并在FPGA板上实现程序的功能。八 、心得体会 1、vhdl编程的学习过程中,我发现在基本了解了一些语法结构之后,运用实例来学习是一种很有效的学习方法,正是在老师带着我们学习了一个模十六的计数器之后,我才真正的有了门路。 2、在正式开始编程的过程中,我开始遇到困难,先是程序有error,然后又是仿真的过程中也遇到了一些问题,但是在与同学讨论之后,以及老师的帮助下,仿真的结果开始好转。 3、在硬件的实现过程中,又一次发现问题,程序从思路上重新更改,重新调试,最终实现预期的结果。4、我发现在程序的编写过程中,最重要的还是思路,有了正确、简化的思路才能更快更有效的实现。


    注意事项

    本文(基于VHDL数字跑表的设计.doc)为本站会员(精***)主动上传,沃文网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知沃文网(点击联系客服),我们立即给予删除!




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服点击这里,给沃文网发消息,QQ:2622162128 - 联系我们

    版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

    Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1

    陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922