欢迎来到沃文网! | 帮助中心 分享知识,传播智慧!
沃文网
全部分类
  • 教学课件>
  • 医学资料>
  • 技术资料>
  • 学术论文>
  • 资格考试>
  • 建筑施工>
  • 实用文档>
  • 其他资料>
  • ImageVerifierCode 换一换
    首页 沃文网 > 资源分类 > DOC文档下载
    分享到微信 分享到微博 分享到QQ空间

    CDMA数字移动通信系统信道编码的实现.doc

    • 资源ID:864106       资源大小:1.26MB        全文页数:32页
    • 资源格式: DOC        下载积分:20积分
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: QQ登录 微博登录
    二维码
    微信扫一扫登录
    下载资源需要20积分
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,下载更划算!
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    CDMA数字移动通信系统信道编码的实现.doc

    1、河北经贸大学毕业论文摘要CDMA技术是当前无线电通信,尤其是移动通信的主要技术,不论是在中国已经建立的IS-95规范的中国联通CDMA网、各大移动通信运营商正准备实验及建立第三代(3G)系统还是大设备研发商已经在开发的三代以后(也称为4G)带宽更宽的移动通信系统,CDMA都是主要的选择。CDMA概念可以简单地解释为基于扩频通信的调制和多址接入方案。其反向链路有接入信道和反向业务信道组成。接入信道用于短信令消息交换、能提供呼叫来源、寻呼响应、指令和注册。本设计对CDMA通信系统信道编码进行实现。首先,通过学习相应的理论知识,熟悉接入信道实现的过程,对每一步的原理有了较深的理解;然后,用ALTER

    2、A 的MAX+ PLUS II系统来开发,它具有强大的功能,支持原理图、硬件描述语言(VHDL,AHDL, verilogHDL)等多种输入方式。用FPGA来实现数字移动通信信道编码,大大简化了系统结构。而且VHDL描述语言的使用缩短了开发时间,增强了系统的可读性,便于后续产品的升级。最后,逐步地对各个模块进行分析、仿真与验证。目的是通过毕业设计工作熟悉现代无线通信系统的基本构成与基本工作原理,重点掌握CRC校验、卷积编码、块交织等相关编码技术,并能将这些技术应用实际系统设计。关键词 扩频通信; 码分多址; 信道编码; FPGAAbstractCDMA is the major technol

    3、ogy used in the wireless communication, especially in the mobile communication. Following the IS-95 standard set up in China, CDMA is the mostly choice whether in China Uninet net, in the third system (3G) that all kinds of mobile businessmen are to build or in the wider system than the third one (n

    4、amed 4G) that the large equipments researching businessmen have been researching. The concept of CDMA may be interpreted as the simulation that base on frequency spreading and the multiply address access project. Its reverse channel is composed of access channel and traffic channel. The access chann

    5、el is used for brief information exchanging 、providing the source about the calling、the call responding 、the instruction and the enrollment. The channel code of CDMA communication system is realized in the design. First, the process of connect channel will be familiar; the principle of every step of

    6、 the procedure about the channel will be understood, after the study of the relating knowledge. Then, with ALTERAs MAX+PLUS II development system, which has powerful functions to support the schematic diagram, hardware description language (VHDL, AHDL, verilogHDL) and other input methods. Using FPGA

    7、 to realize digital mobile communication channel encoding, greatly simplifies the system architecture. Description language VHDL and the use of shorter development time and enhance the readability of the system to facilitate the upgrading of the follow-up products. Finally, step by step analysis of

    8、each module, simulation and verification. This purpose is to be familiar with the basic structure and basic principle of the morden wireless communication system, to mastery the technology about the Convolutional Encoder,Interleaver ,Coder spread and so forth, then use those technology to design sys

    9、tem in practice. Keywords Wide frequency correspondence; Code division multiple access; Channel coding; FPGAII28目录1绪论11.1CDMA的发展背景11.2CDMA的现状及未来的预测11.3本课题的提出与意义32CDMA系统信道编码原理52.1CDMA系统原理52.2CRC校验62.2.1概述62.2.2CRC校验原理62.2.3CRC编码算法72.3卷积编码82.4交织技术93FPGA原理103.1FPGA工作原理103.2FPGA的应用113.3VHDL语言114整体设计方案13

    10、4.1信道编码FPGA实现原理模型图134.2用VHDL来实现CRC编码134.2.1CRC-4编码算法134.2.2用VHDL实现CRC-N编码的流程描述144.2.3CRC-4编码仿真波形154.3尾比特添加164.4卷积编码实现174.5符号重复194.6符号删除194.7块交织194.8方案所达到的效果215结论22致 谢23附 录24参考文献28CDMA数字移动通信系统信道编码的实现1 绪论1.1 CDMA的发展背景1989年,CDMA发源于美国,最初的设计构想是为美国蜂窝电话运营商提供大容量和高质量的无线通信方案,由于其新颖的特点、优异的性能,CDMA一出世马上就受到通信科研、工业

    11、、运营等方面的专家所注意,目前,该技术是最先进的数字通信技术之一。将CDMA(Code Division Multiple Access)和FDMA(Frequency Division Mul-tiple Access)、TDMA(Time Division Multiple Access)作比较,最突出的特点是:频谱效率高:实践证明,CDMA的系统容量比FDMA大810倍,这对于充分利用国家有限而宝贵的无线电频谱资源、提高运营效率大有好处;系统建设投资少:相同的覆盖面积、相同的频率规划下,CDMA系统所需基站比GSM系统的基站数少12左右,节省了基建投资,也利于提高运营质量,降低成本;话音

    12、质量好:如采用13KbS话音编码器,CDMA系统的话音质量可以和一般固线电话相媲美;抗干扰与保密性能好,掉话率低:CDMA系统采用动态功率控制技术,可以做到保证服务质量的前提下,只发射最低的有效功率,因而减少了系统的同频干扰,保证了通信质量,降低了掉话率。因手机发射功率小,也减轻了大家所担心的电波对人脑的危害,CDMA手机可称是“绿色手机”。此外,CDMA还有许多优点,如:第三代CDMA系统具有提供宽带数据通信能力,目前所采用的CDMA双模式新型手机可在数据网覆盖的地区或在模拟网覆盖的地区自动转换工作方式,给手机的使用者带来方便。1.2 CDMA的现状及未来的预测随着网络的全面覆盖以及用户数的

    13、迅猛增长,移动通信发展的方向已经越来越清晰,即为全球漫游、高频谱利用率(解决全世界存在的系统容量问题)、低价格(设备和服务)以及满足通信个性化的要求。作为第二代移动通信的主流技术之一和第三代移动通信的基础技术,CDMA的发展同样遵循了这一方向。在通信速度方面,CDMA正朝着提供宽带化的方向努力。人们不断研究CDMA的目的就是提高蜂窝电话和其他移动装置无线访问Internet的速率,未来CDMA的最主要的任务莫过于它具有更快的无线通信速度。在网络频谱方面,要想使未来CDMA通信达到更高的传输速度,必须使未来CDMA网络在通信带宽上比现有CDMA网络的带宽高出许多。未来CDMA手机的功能已不能简单

    14、划归电话机的范畴,因为语音数据的传输只是未来CDMA 移动电话的功能之一。而且,未来CDMA手机在外观上将有更惊人的突破,眼镜、手表、化妆盒、旅游鞋都有可能成为CDMA手机的外形。同时,未来CDMA 移动通信的智能性更高,不仅表现在未来CDMA通信的终端设计和操作上具有智能化,更重要的是未来CDMA手机可以实现许多难以想象的功能,例如,手机将能根据环境、时间以及其他因素来适时提醒手机的主人。在CDMA演进的过程中,运营商希望未来CDMA通信系统应当具备全球漫游、接口开放、能跟多种网络互联、终端多样化以及能从现有CDMA平稳过渡等特点。为了提供更高质量的多媒体通信,未来CDMA通信提供的无线多媒

    15、体通信服务将包括语音、数据、影像等,大量信息通过宽频的信道传送出去,因此未来CDMA也称为多媒体移动通信。对于用户而言,希望CDMA的通信费用更加便宜,而且采用主流的全分组化方式,特别是如果IP技术能够解决好安全、服务质量等问题,就会朝着全IP化方向发展。CDMA业务能力不断提高,CDMA网络具有丰富的业务功能,可同时提供多种业务服务,包括高速互联网访问、移动电子商务、定位业务、交互式游戏、远程教育、远程办公、医疗会诊、高速文件传送、多声道和多话音(可视)会议电话、视频点播等移动多媒体业务与宽带数据业务。目前第三代移动通信的市场定位多基于移动多媒体业务,其成功的关键在于它提供个性化多媒体业务的

    16、能力。越来越多的专家认为,意义最为重大的、而且可以预测日后将会普及的功能主要包括多媒体信息业务、移动定位服务、可视电话、移动电子商务和移动Internet接入业务。首先,多媒体信息服务(MMS)是对短信息服务(SMS)和图片信息传递的进一步发展,可即时实现端到端、终端到互联网或互联网到终端的传送。MMS内容包括照片、录像剪辑图片、音频或语音剪辑、城市地图、信函、明信片、贺卡、演示文稿、图表、布局图、平面图、卡通及动画等等。这种服务方式为可能使用MMS来提供信息的各种应用类型和企业(如广告商)开辟了令它们感兴趣的空间。移动定位服务是指根据移动用户所处的地理位置提供与位置相关的服务。由于定位技术可

    17、广泛地应用于军事和民用部门,如导航、测量、急救、车辆调度、防盗防劫、城市规划、城市导游等各个方面。同时,由于在第三代移动通信系统中,业务传输速率有较大提高,小区管理更加复杂,因此移动定位业务将会在第三代移动通信服务中占有重要位置。CDMA提供的移动定位服务可包括:紧急救援、车队管理、汽车救援、货物跟踪、物流管理、基于位置信息的广告、选择性的大众广播、与位置信息相关的收费。在移动环境下,通过终端提供可视电话将成为CDMA中的一个重要业务。随着通信技术的不断发展,人们对通信的需求将不再局限于单纯的语音通信,不管语音通信的效果如何好,人们总是更倾向于面对面的交流。在带宽得以保证的CDMA中,可视电话

    18、将逐步流行起来。在可视电话开展的同时,运营商也可开展会议电视业务。由于CDMA终端受屏幕大小的限制,召开像现有固定网上开通的会议室型会议电视的可能性较小,而更多地会集中在小范围内的几个位于不同地理位置的人互相可见(通过各自的终端)地进行相关问题的商讨。另外在移动环境下,提供因特网服务是第三代移动通信系统近期的主要业务特征。移动电子商务可能是最主要最有潜力的应用。股票交易、移动办公室、银行业务、网上购物、机票及酒店的预订、旅游及行程和路线安排、电子与交互式游戏、电子杂志分销、点播音频及视频业务订购等,可能是移动电子商务中最先开展的应用。基于移动环境下的Internet服务则包括Web浏览、新闻、

    19、体育、天气查询、城市黄页等各种各样的信息服务;实现各类精彩的游戏,如AOD、VOD、卡拉OK、下载游戏软件等;帮助商业人士提供移动证券、移动银行、保险、网上购物等电子商务;提供各种生活信息,如旅游及饮食和娱乐的服务地点、费用、时间、方式等。面向集团用户可以提供虚拟局域网功能(VPN)接入企业服务器、内部电子邮件、多媒体会议、信息发布等业务。这些数据业务的应用种类繁多,业务提供商可以利用CDMA网络平台开发各种各样的应用,以求最大程度地满足移动用户的需求。1.3 本课题的提出与意义就目前而言,CDMA技术正逐渐向新一代的通信标准3G过渡。这是技术发展,用户需求,市场竞争等等要素导致的,所以目前C

    20、DMA的有关硬件设计将越来越完善,对其各种性能的要求,如实用性,稳定性等,也越来越高。而以前的数字硬件,如DSP将无法承担CDMA发展的重任。而FPGA(Field Programmable Gate Array)是专用集成电路(ASIC)中集成度最高的一种,用户可对FPGA内部的逻辑模块和I/O模块重新配置,以实现用户的逻辑。它的功能将完全可以满足CDMA硬件设计的要求。同时,与之相配套的VHDL(Very High Speed Integrated Circuit Hardware Description Language)语言,是一种用来描述数字逻辑系统的编程语言,采用类似高级语言的语句

    21、格式完成对硬件行为的描述。VHDL的优越性,成为现在硬件设计师的首选语言。 此外,兼容VHDL语言的软件也越来越多,也越来越实用。MAX+PLUS II正是其中的佼佼者。MAX+PLUS II(Multiple Array Matrix and Programmable Logic User System)是美国Altera公司自行设计的一种软件工具,它具有原理图输入和文本输入(采用硬件描述语言)两种输入手段,利用该工具所配备的编辑、编译、仿真、综合、芯片编程等功能,将设计电路图或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如FPGA芯片),即可做成ASIC芯片。所以现在使用软件MAX

    22、+PLUS II,用VHDL语言实现CDMA系统的逻辑,用FPGA设计CDMA系统的主要模块,是目前研究的重点和热点之一,同时它也代表了以后CDMA设计的发展方向。本课题正是基于以上现状提出的,有着重要的意义。2 CDMA系统信道编码原理2.1 CDMA系统原理CDMA是在扩频通信的基础上发展起来的。所谓扩频通信,就是将要传送的具有一定信号带宽的信息数据,用一个带宽远大于信号带宽的高速伪随机码进行调制,使原始数据信号的带宽被扩展,再经载波调制并发送出去。接收端使用完全相同的伪随机码对接收到的信号作相关处理,把宽带信号转换成原始信息数据的窄带信号(即解扩),以实现信息通信。它通常采取的扩频方案有

    23、2种,一是直接序列(Direct Sequence)扩频技术,另一种是跳频(Frequency Hopping)扩频技术。信息与通信系统中的编码有4种形式:信源编码、信道编码、密码编码和多址编码。信源编码解决了通信系统的有效性问题,通过压缩信源冗余信息来提高通信的效率;信道编码则是通过增加冗余位来达到保证通信系统的可靠性(通过牺牲带宽或传输速率来换取可靠性);密码编码则是保证了系统的安全性;多址编码主要是解决多用户通信问题。仙农第二编码定理证明,用任意接近信道容量C的传输速率R传送并且传输的差错率可以任意小的编码方法是存在的。信道编码的任务就是寻找这种编码。CDMA系统的信道编码原理及其框图:

    24、数字通信中经常用信道编码来提高数据传输的可靠性,在CDMA系统中,进入信道编码的数据是由声码器产生的以20 ms为一帧的速率可变的数字语音信号,它的速度分为8 600 bps(全速率)、4000 bps(半速率)、2 000 bps(1/4速率)、800 bps(1/8速率),分别对应每帧172、80、40、16 bit。根据数据速率的不同,分别对数据帧速率为8.6 kbit/s和4.0 kbit/s进行CRC校验编码,以便在接受时可以判断有没有接收到误帧,一个8 bit的尾加在每帧的后面以保证后面进行卷积编码时,每帧的末尾复位为全零状态。然后每帧进行一定码率的卷积编码。最后根据语音速率的不同

    25、进行符号重复,使每帧输出的数目一样即576个符号,达到28.8 kbps,以便后面进行交织。整个过程如图2- 1所示。CRC加8位编码器尾部卷积编码符号重复交织8.6;4.0;2.0;0.8(kbit/s)9.2;4.4;2.0;0.8(kbit/s)9.6;4.8;2.4;1.2(kbit/s)28.8;14.4;7.2;3.6(kbit/s)信息位图2- 1 信道编码过程图2.2 CRC校验2.2.1 概述数据在传输过程中,由于通道传输特性不理想,并且受到噪声或干扰的影响,传输到接收端后可能发生错误判决,并且有时由于受到突发的脉冲干扰,错码会成串出现。因此为了降低通信中的误码率,提高数字通

    26、信的可靠性,通常要采用信道编码来检错和纠错,信道编码也称为差错控制编码。差错控制编码的基本思想是:发送端在传输的信息码元序列中附加一些冗余的监督码元(校验码),这些监督码和信息码之间按编码规则形成一定的关系,接收端则通过检查这种关系来发现或纠正可能产生的误码。循环冗余校验CRC(Cyclic Redundancy Check)是一种高效、可靠的差错控制方法,其编码简单、纠错能力强且误判概率很低,所以在工业测控及通信系统中得到了广泛的应用。2.2.2 CRC校验原理CRC校验采用多项式编码方法,被处理的数据块可以看作是一个n阶二进制多项式,由来表示,例如一个8位二进制数10100101可以表示为

    27、:。多项式乘除法运算过程与普通代数多项式的乘除法相同;多项式的加减法运算以2为模,加减时不进、错位,和逻辑异或运算一致,即以2为模的加法和减法是等价的。CRC校验的基本思想是:利用线形编码理论,在发送方根据要传送的k位二进制序列,以一定的规则产生r位校验用的监督码(即CRC码),并附在信息后边,构成一个新的二进制代码序列共n=k+r位,最后发送出去(其格式如图4.2所示);在接收方,则根据信息码和CRC码之间所遵循的规则进行校验,以确定传送中是否出错。k位(信息位)r位(校验位)图2- 2 添加了CRC的数据结构图2.2.3 CRC编码算法采用CRC校验时,发送方和接收方使用同一个生成多项式g

    28、(x)(生成多项式是标准化的,我们可以根据具体需要自行选择),将最后的余数作为CRC校验码。其实现步骤如下:(1)设待发送的数据块是m位的二进制多项式t(x),生成多项式为r阶的g(x)。在数据块的末尾添加r个0,数据块的长度增加到m+r位,对应的二进制多项式为。(2)用生成多项式去除,求得余数为r-1阶的二进制多项式。此二进制多项式y(x)就是t(x)经过生成多项式g(x)的CRC校验码多项式。(3)用以模2的方式减去y(x),则对应的二进制序列就是包含了CRC校验码的待发送字符串。用表达式来表示以上三个步骤为: (2-1)其中是商多项式,y(x)是余数多项式。则有 (2-2) 即是所要发送

    29、的资料及其CRC码。(4)接收方校验时,以计算的校正结果是否为0为根据,判断资料是否出错。从CRC的编码规则可以看出,CRC编码实际上是将待发送的m位二进制多项式t(x)转换成了可以被g(x)除尽的m+r位二进制多项式T(x),所以解码时可以用接收到的数据去除g(x),如果余数为零则传输正确,否则在传输过程中肯定存在错误。同时T(x)可以看作是由t(x)和CRC校验码的组合,所以译码时将接收到的二进制数据去掉尾部的r位,得到的就是原始数据。2.3 卷积编码卷积码是分组的,但它的监督元不仅与本组的信息元有关,还与前若干组的信息有关。这种码的纠错能力强,不仅可纠正随机差错,而且可纠正突发差错。卷积

    30、码根据需要,有不同的结构及相应的纠错能力,但都有类似的编码规律。卷积码的描述方式有多种:生成矩阵、生成多项式、D变换,以及主要用于译码的树图、trellis图和状态转移图等。卷积码的生成矩阵与分组码不同,他是一个半无限矩阵(如式(1)。这也就导致了卷积码在编码上的输出是有头无尾的,即每个信息段的输出都是无穷的。实际中,通过在信息段的后面增加k个0来分割,因为在连续输入k个0后输出也为0。G = (2-3)其中:gi为b中第i个输入ui的系数矩阵。卷积编码将输入的k个信息比特编成n个比特输出,特别适合以串行形式进行传输,时延小。卷积编码器的一般形式如图2-3所示,它包括:一个由N段组成的输入移位

    31、寄存器,每段有k级,共Nk个寄存器;一组n个模2和相加器;一个由n级组成的输出移位寄存器,对应于每段k个比特的输入序列,输出n个比特。卷积码记为(n,k,N),其中N为约束长度,Rk/n为编码效率。12k12k12k12n一次输入Nk级移位寄存器k个比特信息 卷积码输出序列图2- 3 卷积编码器的一般形式2.4 交织技术在陆地移动通信这种变参信道上,持续较长的深衰落谷点会影响到相继一串的比特,使比特差错常常成串发生。然而,信道编码仅能检测和校正单个差错和不太长的差错串。为了解决成串的比特差错问题,采用了交织技术:把一条消息中的相继比特分散开的方法,即一条信息中的相继比特以飞相继方式发送,这样即

    32、使在传输过程中发生了成串差错,恢复成一条相继比特串的消息时,差错也就变成单个(或者长度很短)的错误比特,这是再用信道再用纠正随机差错的编码技术(FEC)消除随机差错。 例如:在移动通信中,信道的干扰、衰落等产生较长的突发误码,采用交织就可以使误码离散化,接收端用纠正随机差错的编码技术消除随机差错,能够改善整个数据序列的传输质量。 原理:经过(n0,k0,m)卷积码编码器输出的由n0比特组成的码字,被存储到一个(n0i)即n0行i列的块交织矩阵中,其后按矩阵列的方法读取并输出序列。这样,n0个连续编码比特在读出串行序列中被(i-1)个比特相同成为离散编码比特分布。 3 FPGA原理FPGA是英文

    33、FieldProgrammable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。3.1 FPGA工作原理FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有

    34、: 1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和IO引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA芯片将EPROM中数据读

    35、入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。3.2 FPGA的应用FPGA的应用可分为三个层面:电路设计,产品设计,系统设计1.电路设计中FPGA的应用连接逻辑,控制逻辑是FPGA早期发挥作用比较大的领域也是FPGA应用的基石事实上在电路设计中应用FPGA的难度还是比较大的这要求开发者要

    36、具备相应的硬件知识(电路知识)和软件应用能力(开发工具)这方面的人才总是紧缺的,往往都从事新技术,新产品的开发成功的产品将变成市场主流基础产品供产品设计者应用在不远的将来,通用和专用IP的设计将成为一个热门行业! 2.产品设计把相对成熟的技术应用到某些特定领域如通讯,视频,信息处理等等开发出满足行业需要并能被行业客户接受的产品这方面主要是FPGA技术和专业技术的结合问题,另外还有就是与专业客户的界面问题产品设计还包括专业工具类产品及民用产品,前者重点在性能,后者对价格敏感产品设计以实现产品功能为主要目的,FPGA技术是一个实现手段在这个领域,FPGA因为具备接口,控制,功能IP,内嵌CPU等特

    37、点有条件实现一个构造简单,固化程度高,功能全面的系统产品设计将是FPGA技术应用最广大的市场。3.系统级应用系统级的应用是FPGA与传统的计算机技术结合,实现一种FPGA版的计算机系统如用XilinxV-4, V-5系列的FPGA,实现内嵌POWERPCCPU, 然后再配合各种外围功能,实现一个基本环境,在这个平台上跑LINIX等系统这个系统也就支持各种标准外设和功能接口(如图像接口)了这对于快速构成FPGA大型系统来讲是很有帮助的。3.3 VHDL语言VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Lan

    38、guage,诞生于 1982 年18。1987 年底,VHDL被 IEEE 和美国国防部确认为标准硬件描述语言。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基

    39、本点。FPGA的开发流程1.文本编辑:用任何文本编辑器都可以进行,通常VHDL文件保存为.vhd文件,Verilog文件保存为.v文件;2.功能仿真:将文件调入HDL仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只在布线完成以后,进行时序仿真);3.逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式和信号的连接关系。逻辑综合软件会生成.edf(edif)的EDA工业标准文件;4.布局布线:将.edf文件调入PLD厂家提供的软件中进行布线,即把设计好的逻辑安放到FPGA内;5.时序仿真:需要利用在布局布线中获得的精确参数,用仿真软件验

    40、证电路的时序。(也叫后仿真);6.编程下载:确认仿真无误后,将文件下载到芯片中。4 整体设计方案4.1 信道编码FPGA实现原理模型图如图4-1所示,开始的时候通过握手控制信号实现声码器与FPGA之间的数据的顺利传输,系统接到数据后,进入FIFO,为了实现实时处理,FIFO采用了双时钟即它的输入输出采用不同的时钟,然后在帧控制信号的控制下完成对输入的各种速率数据进行按帧的信号处理。因为声码器对声音的处理是以20 ms为一帧,所以帧控制模块主要包括一个20 ms的定时器以及其他的一些输出控制信号,它是整个信道编码的核心,整个编码系统在它的控制下完成对声码器输出的数据进行各种处理。声码器握手控制F

    41、IFO输出控制加尾电路帧控制加尾控制输出控制卷积编码帧控制CRC校验 图4- 1 实现原理模型图4.2 用VHDL来实现CRC编码 由于CRC的编码过程基本一致,只有位数和生成多项式不一样,因此为了叙述简单,用一个CRC-4编码的例子来说明CRC的编码过程。4.2.1 CRC-4编码算法设待发送的信息t(x)为12位的二进制数据100100011100;CRC-4的生成多项式为g(x)=,对应序列为10011。首先在t(x)的末尾添加4个0构成,数据块就成了1001000111000000。然后用g(x)去除,不用管商是多少,只需要求得余数y(x)。表4.1给出了除法过程:表4.1 CRC-4

    42、计算过程除法次数被除数/g(x)/结果余数0100100011100000010011100000010011 1001110000001 1001110000001000000 10011 1000000 2 1000000 1100 10011 1100 通过三次除法运算,我们得到了最终余数1100,它就是循环冗余校验码,那么通过CRC-4编码器后的输出数据就变成了1001000111001100。4.2.2 用VHDL实现CRC-N编码的流程描述从上面表中可以看出,CRC编码实际上是一个循环移位的模2运算。对CRC-N,我们假设有一个(N+1)bits的寄存器reg1,用来存放信息数据,

    43、另一(N+1)bits 的寄存器reg2用来存放生成多项式的二进制序列,通过对reg1中数据的反复移位及与reg2中对应位进行异或运算,最终该寄存器中的值去掉最高位就是我们所需要的余数,即CRC码。图4- 2所示即为实现CRC-N编码的流程图。reg1 清零reg2 置数reg1首位是否为“1”数据是否输入完毕否是 否reg1=reg1 xorreg2reg1首位是否为“1”否reg1左移一位,读入一个“0”reg1=reg1 xor reg2是否已读入(N+!)个“0”否reg1左移一位,读入一个新的数据输出reg1中前N位即为所求CRC码图4- 2 CRC-N编码流程图4.2.3 CRC-

    44、4编码仿真波形(如图4-3)从输入端datain输入序列为100100011100;输出端dataout输出1001000111001100,其中后四位1100即为CRC校验码。仿真结果与理论结果一致表明该算法和程序是正确的。图4- 3 CRC-4编码仿真波形图在CDMA2000中用到了六种CRC生成多项式:16 bit CRC生成多项式: (4-1)12 bit CRC生成多项式: (4-2)10 bit CRC生成多项式: (4-3)8 bit CRC生成多项式: (4-4)6 bit CRC生成多项式(除配置为RC1和RC2前向业务信道外所有前向信道): (4-5)6 bit CRC生成

    45、多项式(配置为RC1和PC2前向业务信道): (4-6)4.3 尾比特添加所有需要进行尾比特添加的信道,均是在每帧尾部添加8个“0”,目的是为下一功能模块卷积编码器做准备。4.4 卷积编码实现 卷积编码需要选择约束长度和编码效率。约束长度应尽可能大,以便获得良好的性能。然而随着约束长度的增加,解码器的复杂性也增加。现代的VLSI的实现已经可获得约束长度为9的卷积码,因此,在CDMA2000中使用的所有卷积码的约束长度都为9。CDMA2000 1X前向链路信道采用的卷积编码速率为1/2与1/4。约束长度为9、编码速率为1/2的卷积码的生成函数为g0=753(八进制)和g1=561(八进制),该卷积码编码器的结构图如图4-4所示: 图4- 4 R1/2的卷积编码器经过编码的符号从c0与c1输出,先输出c0后输出c1。其中c0为信息比特输入与D1、D2、D3、D5、D7、D8相异或的结果;c1为信息比特输入与D2、D3、D4、D8相异或的结果。寄存器数据依次移位,信息比特输入D1。实现程


    注意事项

    本文(CDMA数字移动通信系统信道编码的实现.doc)为本站会员(精***)主动上传,沃文网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知沃文网(点击联系客服),我们立即给予删除!




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服点击这里,给沃文网发消息,QQ:2622162128 - 联系我们

    版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

    Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1

    陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922