欢迎来到沃文网! | 帮助中心 分享知识,传播智慧!
沃文网
全部分类
  • 教学课件>
  • 医学资料>
  • 技术资料>
  • 学术论文>
  • 资格考试>
  • 建筑施工>
  • 实用文档>
  • 其他资料>
  • ImageVerifierCode 换一换
    首页 沃文网 > 资源分类 > DOC文档下载
    分享到微信 分享到微博 分享到QQ空间

    数字频率计设计 (3).doc

    • 资源ID:829969       资源大小:2.34MB        全文页数:53页
    • 资源格式: DOC        下载积分:20积分
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: QQ登录 微博登录
    二维码
    微信扫一扫登录
    下载资源需要20积分
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,下载更划算!
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    数字频率计设计 (3).doc

    1、 数字频率计设计摘 要众所周知,数字频率计在电子技术中已经扮演着一个重要的角色,因此数字频率计是一种最基本的测量仪器,它被广泛应用于航天、电子、测控等领域,许多测量方案和测量结果都与频率有着十分密切的关系,因此频率的测量在电子产品的研究与生产中显得尤为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。本文将采用中小规模芯片和VHDL语言利用直接法完成设计。 关键词:数字频率计;信号;周期Di

    2、gital frequency meter DesignAbstract As we all know, the digital frequency meter play a improtant role in electron technology ,so The digital frequency meter is one kind of basic metering equipment , It is widely used in aerospace, electronics, measurement and control areas and so on, many of measur

    3、ement scheme and measurement result have very close something to do with frequency . Therefore, the frequency of measurements in research and production of electronic products is particularly important . There are several ways of measuring frequency, in which electronic counter measure the frequency

    4、 with high precision, easy to use, quick measurements, and is easy to realize the advantages of automation of measurement process is an important means of frequency measurement. There are two ways of Electronic Counter Frequency Measurement: First, the direct frequency measurement method, which is,

    5、the gate in a certain period of time measured the number of measured signal pulse; The second is indirect frequency measurement method, such as cycle frequency measurement method. This issue primarily use Small and medium-scale chips and VHDL to achieve the digital frequency meter production using t

    6、he direct method.Keywords:Figure frequency meter;Signal;Period目录1 绪论12 设计方案分析32.1 设计任务及要求32.3 设计方案分析33 中小规模芯片设计数字频率计43.1 设计原理分析5 3.2 主要芯片的引脚及功能简介63.3 单元电路设计113.4 仿真及分析173.4.1 proteus软件简介174.3.2 电路的仿真及分析184 VHDL语言设计数字频率计204.1 VHDL语言介绍204.2 基于VHDL语言的设计244.2.1 系统原理方框图244.2.2 VHDL程序设计254.3仿真及波形分析384.3.1

    7、 MAX+PLUS II软件简介384.3.2 利用MAX+PLUS软件仿真及分析395 小结46参考文献47致谢48III1绪论在信息技术高度发展的今天,电子系统数字化已成为有目共睹的趋势。从传统的应用中小规模芯片构成系统到广泛地应用单片机,直至今天FPGA/CPLD在系统设计中的应用,电子技术已迈入一个全新的阶段。传统的硬件设计采用自下而上的设计方法。这种设计方法在系统的设计后期进行仿真和调试,一旦考虑不周,系统设计存在较大缺陷,就有可能重新设计系统,使设计周期大大增加。电子设计自动化EDA(Electronic Design Automation)技术是现代电子工程领域的一门新技术,是一

    8、种以计算机为基本工作平台,利用计算机图形学拓扑逻辑学、计算数学以致人工智能学等多种计算机应用科学的最新成果而开发出来的一整套软件工具。通过有关的开发软件,自动完成用软件设计的电子系统到硬件系统的设计,最终形成集成电子系统或专用集成片的一门新技术。其设计的灵活性使得EDA技术得以快速发展和广泛应用。在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。EDA中可以使用中小规模芯片来完成设计,以proteus软件为平台进行硬件电路仿真和PCB设计,它有设计灵活,结果、过程的统一的特点。可使设计时间大为缩短、耗资大为减少,也可降低

    9、工程制造的风险。EDA的一个重要特征就是使用硬件描述语言(HDL)来完成设计文件,可以MAX+plus II软件为设计平台,采用VHDL语言实现的数字频率计,有测量准确、精度高、体积小、性能可靠的特点,得到广泛的应用。1 研究背景和目的意义数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。在数字电路中,频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,频率计是用量最大、品种很多的产品。在信息技术高度发展的今天,

    10、电子系统数字化已成为有目共睹的趋势。从传统的应用中小规模芯片构成系统到广泛地应用单片机,直至今天FPGA/CPLD在系统设计中的应用,电子技术已迈入一个全新的阶段。而在电子技术中,数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。数字频率计如此广泛的应用,使得分析掌握它的工作原理和技术指标成为一项重要的技术工作。2 发展现状及研究概况随着电子技术的飞速发展,各类分立电子元件及其所构成的相关功能单元,已逐步被功能更强大、性能更稳定、使用更方便的集成芯片所取代。由集成芯片和一些外围电路构成的各种自动控制、自动测量、自动显示电路遍及各种电子产品和设备。数字系统和数字设备已广泛应

    11、用于各个领域,更新换代速度可谓日新月异。在电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。供消费用的微波炉和电视、先进的工业控制系统、空间通讯系统、交通控制雷达系统、医院急救系统等在设计过程中无一不用到数字技术。数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。数字频率计是现代通信测量设备系统中不可缺少的测量仪器,不但要求电路产生频率准确的和稳定度高的信号,而且能方便的改变频率。10数字频率计主要实现方法有直接式、锁相式、直接数字式和混合式四种。直接式的优点是速度快、相位噪声低,但结构复杂、杂散多,一般只应用在地面雷达中。锁相式的

    12、优点是相位同步的自动控制,制作频率高,功耗低,容易实现系列化、小型化、模块化和工程化。直接数字式的优点是电路稳定、精度高、容易实现系列化、小型化、模块化和工程化。随着单片锁相式数字频率计的发展,锁相式和数字式容易实现系列化、小型化、模块化和工程化,性能也越来越好,已逐步成为两种最为典型,用处最为广泛的数字频率计。2设计方案分析2.1设计任务及要求(1)测量范围;1Hz999999Hz,固定小数点; (2)分辨率:1Hz;(3)显示方式:以十进制数显示在LED上;(4)工作模式:采用定时、计数的方法测量频率,计数,显示,清零能够人工控制;(5)可以测量正弦波,方波,三角波等交流信号的频率,各种信

    13、号转换成方波进行测量;(6)当被测信号的频率超出测量范围时,报警。2.3设计方案分析常数字频率计的设计可分为三种方案:方案一:采用小规模数字集成电路制作被测信号经过放大整形变换为脉冲信号后加到主控门的输入端,时基信号产生闸门信号送至主控门,只有在闸门信号采样期间输入信号才通过主控门,若时基信号周期为T,进入计数器的输入脉冲数为N,则被信号的测频率其频率F=N/T。同时时基信号送至控制器,产生清零和锁存信号, 555定时器组成多谐振荡器,显示电路采用七段共阴极LED数码管。方案二:采用单片机进行测频控制单片机技术比较成熟,功能也比较强大,被测信号经放大整形后送入测频电路,由单片机对测频电路的输入

    14、信号进行处理,得出相应的数据送至显示器显示。采用这种方案优点是成熟的单片机技术、运算功能较强、软件编程灵活、自由度大、设计成本也较低、缺点是显而易见的,在传统的单片机设计系统中必须使用许多分立元件组成单片机的外围电路,整个系统显得十分复杂,并且单片机的频率不能做的很高,使得测量精度大大降低。649方案三:采用VHDL编程设计实现数字频率计利用 VHDL( 超高速集成电路硬件描述语言) 工业标准硬件描述语言, 采用自顶向下( Top to Down)和基于库( Library- based)的设计, 避免了用电路图设计时所引起的毛刺现象,改变了以往数字电路小规模多器件组合的设计方法,使系统大大简

    15、化, 提高了整体的性能和可靠性。方案确定:本设计将采用方案一和方案三来进行设计。3中小规模芯片设计数字频率计3.1设计原理分析数字频率计原理框图如图3.1放大整形译码锁存计数闸门被测信号显示控制电路时基信号图3.1 原理框图频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则没测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正

    16、弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等,由组成框图3.1可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存电路、时基电路、逻辑控制电路、译码显示电路几部分组成。如图3.2被测信号经放大整形电路变成计数器所要求的脉冲信号,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号,具有固定宽度T的方波时基信号作为闸门的一个输入端,控制闸门的开放时间。被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/T Hz。可见,闸

    17、门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.可以根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲,使显示器上的数字稳定;二是产生清“0”脉冲,使计数器每次测量从零开始计数。图3.2 信号波形原理图3.2主要芯片的引脚及功能简介(1)如图3.3所示74LS48芯片,它的的输入端是四位二进制信号(8421BCD码),a、b、c、d、e、f、g是七段译码器的输出驱动信号,高电平有效。可直接驱动共阴极七段数码管, 是使能端,起辅助

    18、控制作用。图3.3 74LS48使能端的作用如下: LT是试灯输入端,当 LT=0, BI=1时,不管其它输入是什么状态,ag七段全亮;BI静态灭灯输入 ,当 BI=0,不论其它输入状态如何,ag均为0,显示管熄灭;RBI动态灭零输入 ,当 LT=1, RBI=0时,如果 A3A2A1A0(ABCD)=0000时,ag均为各段熄灭; RBO动态灭零输出 ,它与灭灯输入BI 共用一个引出端。当A3A2A1A0(ABCD)=0000,且RBI=1,LT=0时, 在动态灭零时输出才为0。RBO与 RBI配合,可用于熄灭多位数字前后所不需要显示的零。374ls48引脚功能表七段译码驱动器功能如表3.1

    19、表3.1七段译码器功能表 LTBBIDCBABI/RBOabcdefg0HH0000 H11111101HX0001H01100002HX0010H11011013HX0011 H11110014HX0100 H01100115HX0101 H10110116HX0110 H00111117HX0111 H11100008HX1000 H11111119HX1001 H111001110HX1010 H000110111HX1011 H001100112HX1100 H010001113HX1101H100101114HX1110 H000111115HX1111 H0000000BIXXXX

    20、XX L0000000RBIHL0000L0000000LTLXXXXX H1111111(2)如图3.4所示74LS273X芯片,74LS273是一种带清除功能的8D触发器, 1D8D为数据输入端,1Q8Q为数据输出端,正脉冲触发,低电平清除,常用作8位地址锁存器。1脚是复位CLR,低电平有效,当1脚是低电平时,输出脚2(Q0)、5(Q1)、6(Q2)、9(Q3)、12(Q4)、15(Q5)、16(Q6)、19(Q7)全部输出0,即全部复位;当1脚为高电平时,11(CLK)脚是锁存控制端,并且是上升沿触发锁存,当11脚有一个上升沿,立即锁存输入脚3、4、7、8、13、14、17、18的电平状

    21、态,并且立即呈现在输出脚2(Q0)、5(Q1)、6(Q2)、9(Q3)、12(Q4)、15(Q5)、16(Q6)、19(Q7)上. 3图3.4 74LS273(3)如图3.5所示74LS90芯片,74LS90计数器是一种中规模二一五-十进制计数器,R0(1),R0(2)是清零端,R9(1),R9(2)是置9端,CPA和QA可组成一个二进制计数器,CPB和QBQCQD组成五进制计数器;若把QA和CPB相连,脉冲从CPA输入,则构成8421BCD码十进制计数器。74LS90具有如下的五种基本工作方式: 五分频:即由FD、FC、和FB组成的异步五进制计数器工作方式。 十分频(8421码):将QA与C

    22、K2联接,可构成8421码十分频电路。 六分频:在十分频(8421码)的基础上,将QB端接R1,QC端接R2。其计数顺序为000101,当第六个脉冲作用后,出现状态QCQBQA=110,利用QBQC=11反馈到R1和R2的方式使电路置“0”。 九分频:QAR1、QDR2,构成原理同六分频。十分频(5421码):将五进制计数器的输出端QD接二进制计数器的脉冲输入端CK1,即可构成5421码十分频工作方式。3图3.5 74LS90(4)如图3.6所示74LS123芯片,74LS123 内有两组多谐振荡器,这个直流触发多振荡器的特点是由三种方法控制脉冲宽度,最基本的是选取外部的RC 值来控制。IC

    23、内部已经有一个定时电阻(内部时间选择电阻器只在LS122 上),因此允许只外接定时电容使用。其功能特点:清零终止输出脉冲;为VCC和温度变化补偿;直流触发是高电平或电平逻辑输入。双可重触发单稳态触发器(有清除端)。3图3.6 74LS123(5)如所示74LS00芯片,74LS00 是常用的四组2输入与非门集成电路,他的作用很简单就是实现一个与非门。在数字电路设计中与其他门电路结合用来达到自己所需要的组合逻辑功能,其真值表如表3.2:3 ABY110011101001表3.2 真值表 图3.7 74LS00 (6)如图3.8所示NE555芯片,NE555是一种应用特别广泛作用很大的的集成电路,

    24、属于小规模集成电路,在很多电子产品中都有应用。NE555的作用是用内部的定时器来构成时基电路,给其他的电路提供时序脉冲。NE555时基电路有两种封装形式,一是dip双列直插8脚封装,另一种是sop-8小型(smd)封装形式。其他ha17555、lm555、ca555分属不同的公司生产的产品。内部结构和工作原理都相同。NE555的内部结构可等效成23个晶体三极管.17个电阻.两个二极管.组成了比较器.RS触发器.等多组单元电路.特别是由三只精度较高5k电阻构成了一个电阻分压器.为上.下比较器提供基准电压.所以称之为555.NE555属于cmos工艺制造.NE555引脚图介绍如下1地 GND、2触

    25、发 、3输出、4复位、5控制电压、6门限(阈值)、7放电、8电源电压Vcc。555时基电路可以组成多谐振荡器和施密特触发器。3图3.8 NE555图3.9给出施密特触发器波形转换 图3.9 施密特触发器及波形转换3.3单元电路设计(1) 放大整形电路图3.10 放大整形电路如图3.10放大整形电路可以采用晶体管 3DGl00和NE555,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。NE555构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲,放大电路可以用各种各样的形式去实现,如图3.10。但是三极管有其独特的工作原理: (1)简单的说:管子工

    26、作前题是BE结加正向电压BC结加反向电压,然后1.发射区向基区扩散电子,2.电子在基区边界扩散与复合,空穴由外电源补充,维持电流。3.电子被集电极收集。改变基极电流就可以改变集电极电流:IC=BIB 集电结反偏,就是给集电极加反向电压,用电源EC提供。发射结正偏,就是给基极加正向偏置电压,由偏置电路电阻提供。 (2.)理论上是可以使一个三极管同时实现开关与放大,因为放大是介于开与关之间的状态。实际应用中没有这样做的,因为开关作用经常被用于控制电路,例如电机启动、停止。放大作用经常用于小信号模拟电路中,例如家电音响、通讯等等。日常生活中没有同时使用一个三极管兼做2个任务的例子。(3.)三极管用于

    27、放大时,一般信号电压在几个-十几个毫伏。 作为开关使用时,一般以0伏为界限,为了可靠开关,三极管基极电压在开状态时输入+3-5伏,关状态时输入-2-5伏(以NPN管为例)。(4.)三极管放大的是电流,但是通过集电极电阻可以转换为电压输出,也就是说,既可以放大电流,也可以放大电压,根据需要都能做到。(2)时基电路图 3.11时基电路 555时基电路是一种将模拟功能与逻辑功能巧妙结合在同一硅片上的组合集成电路。尽管世界各大半导体或器件公司、厂家都在生产各自型号的5555时基电路,但其内部大同小异,且都具有相同的引出功能端,时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度

    28、要求较高时,可采用晶体振荡器。 采用555产生0.46Hz振荡脉冲(包括1s高电平)的参考电路如图3.11所示。由于刚启动时基电路不稳定,会有2s的高电平,因此每次测量结果总会先显示被测频率的2倍,之后才会正常显示被测频率,因此在时基电路加一个非门,并设置时基电路的低电平为1s,非门输出会产生1s的高电平。时基电路参数的确定:振荡器产生的时基信号高脉冲持续时间为t1=1.1s,令低脉冲信号持续时间为t2=1s。fo=1/(t1+t2) (3.1) t1=0.7(R1+R2)C (3.2)t2=0.7R2*C (3.3)若取电容C=10uF,由式(3.1),式(3.2)和式(3.3)可计算出电阻

    29、R1,R2。则 R2=t2/0.7=143.8kR1=14.2k (3 )逻辑控制电路 图3.12 逻辑控制电路如图3.12逻辑控制电路主要实现逻辑状态的控制。这些逻辑状态可综合表达为“真”与“非”两种基本逻辑状态,这两种基本逻辑状态通过空间和时间上的并联与串联构成不同的复合逻辑组态,在应用中形成各种用途的逻辑控制电路,本部分电路中在时基信号II结束时产生的负跳变用来产生锁存信号,锁存信号的负跳变又用来产生清“0”信号V。脉冲信号和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得

    30、一负脉冲,其波形关系正好满足和V的要求。手动复位开关S按下时,计数器清“ 0 ”。 逻辑控制电路参数的确定:锁存信号和清零信号脉冲的总的宽度要小于时基电路输出信号负脉冲的宽度。令锁存信号和清零信号的脉冲宽度均为tw=0.02s,则由公式tw=0.45RextCext (3.4)取电阻Rext=10k,由式(3.4)则Cext=tw/0.45Rext=4.4F则取标称值4.7F(4)计数,锁存,译码,显示电路图3.13 计数,锁存,译码,显示电路如图3.13电路由计数器,译码器,显示器和锁存器组成。在锁存器将门控信号周期内的计数结果存储起来情况下,把所存储的状态送入译码器进行译码,在显示器上得到

    31、稳定的计数显示。锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值,闸门时间结束时,逻辑控制电路发出锁存信号,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器的输出值送到锁存器的输出端。正脉冲结束后,无论D为何值,输出端Q的状态仍保持原来的状态不变所以在计数期间内,计数器的输出不会送到译码显示器。(5)报警电路图3.14 报警电路如图3.14主要由蜂鸣器、放大驱动电路和74LS123组成报警电路,本设计用六位数码管显示,最高显示为999999。因此,超

    32、过999999就要求报警,即当十万位达到9时,如果万位上再来一个时钟脉冲(即进位脉冲),则十万位计数器也会产生进位信号,最高位计数器上最高位引脚由“1”下降为“0”产生正跳变时,触发74LS123产生一个持续1s的高电平,此高电平将通过驱动电路驱动蜂鸣器工作,产生持续1s时间的蜂鸣警报。(6)总体电路设计图3.15 总体电路 如图3.15总体电路,被测信号经由晶体管组成的放大器放大后,送到由555定时器构成的施密特触发器的输入端进行整形,使之成为计数器所要求的脉冲信号。由于放大电路的电源值为5V,所以输入信号比较大时,会出现线性失真,放大后的信号不会超过5V。当时基脉冲处于高电平时,闸门电路打

    33、开,计数器对输入的脉冲进行计数时基脉冲高电平持续时间是1s。当1s计数结束时,闸门关闭,计数停止;74LS123的13引脚产生一个正脉冲,脉冲送到锁存器的时钟脉冲输入端,锁存器将计数器此时的结果锁存并通过LED显示。此时显示的数字就是被测信号的频率。74LS123的13引脚产生的正脉冲下降时,74LS123的12引脚产生一个负脉冲,对计数器进行清零。锁存和清零的全过程必须在时基信号处于低电平时完成,即在时基信号下一个高电平到来之前,74LS123的12引脚产生的负脉冲结束,恢复到高电平。如果在时基信号下一个高电平到来以后74LS123的12引脚产生的负脉冲才结束,那么计数器测得的数值的将少于1

    34、s的时间的脉冲个数,最后显示小于实际值。3.4仿真及分析3.4.1 proteus软件简介Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计

    35、。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 编辑功能特点Proteus软件具有其它EDA工具软件(例:multisim)的功能。这些功能是: (1)原理布图 (2)PCB自动或人工布线 (3)SPICE电路仿真 革命性的特点 (1)互动的电路仿真 用户甚至可以实时采用诸如RAM,

    36、ROM,键盘,马达,LED,LCD,AD/DA,部分SPI器件,部分IIC器件。 (2)仿真处理器及其外围电路 可以仿真51系列、AVR、PIC、ARM、等主流单片机。还可以直接在基于原理图的虚拟原型上编程,再配合显示及输出,能看到运行后输入输出的效果。配合系统配置的虚拟逻辑分析仪、示波器等,建立了完备的电子设计开发环境。34.3.2电路的仿真及分析 (1)时基电路,控制电路波形仿真图4.16 时基电路,控制电路波形仿真图时基电路和控制电路部分的时基信号,锁存信号,和清零信号的仿真图如图4.16,在时基信号的1秒高电平信号期间闸门开通进行计数,在时基电路的下降沿触发控制器在时基电路低电平内依次

    37、产生锁存信号和清零信号,以便于再次时基信号为高电平时计数。 (2)时基信号,原波形,经过放大整形电路的波形以及通过闸门的波形仿真图4.17 时基信号,原波形,经过放大整形电路以及通过闸门的波形仿真图时基信号,原波形,和经过放大整形电路的波形以及通过闸门的波形仿真图如图4.17,原波形经过放大整形后形成方波信号,时基信号控制闸门的开关,在时基信号高电平内开启闸门,计数信号通过闸门开始计数,在时基信号低电平内,关闭闸门。(3)如图4.18测量175Hz正弦波的电路仿真图图4.18 总电路图仿真如图4.18仿真测量175Hz的信号,被测信号输入后,经过放大整形电路后,转换为方波以便电路能够进行测量,

    38、时基电路提供的标准信号控制闸门的开启和关闭,也对控制电路进行控制。在时基电路输出的高电平内闸门开启,放大整形后的波形通过闸门进行计数,闸门关闭后停止计数。在时基电路输出波形的下降延时,触发控制电路依次输出锁存信号和清零信号来显示测量结果和清零。4 VHDL语言设计数字频率计4.1VHDL语言介绍VHDL(Very-high-speed Integrated Circuit Hardware Description Language)诞生于1982年。1987年底,VHDL被IEEE(The Institute of Electrical and Electronics Engineers)和美

    39、国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本(IEEE-1076)之后,各EDA公司相继推出了自己的VHDL设计环境,并宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。现在,VHDL和VERILOG作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL和V

    40、ERILOG语言将承担起几乎全部的数字系统设计任务。1 VHDL的特点VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式,描述风格以及句法十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称为设计实体(可以是一个元件、一个电路模块或一个系统)分成外部(又称为可视部分,即端口)和内部(又称为不可视部分),即设计实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其它的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点

    41、是多方面的,具体如下:(1)与其它的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。就目前流行的EDA工具和VHDL综合器而言,将基于抽象的行为描述风格的VHDL程序综合成为具体的FPGA和CPLD等目标器件的网表文件已不成问题,只是在综合与优化效率上略有差异。(2)VHDL最初是作为一种仿真标准格式出现的,因此VHDL既是一种硬件电路描述和设计语言,也是一种标准的网表格式,还是一种仿真语言。其丰富的仿真语句和库函数,使得在任何大系统的设计早期(即尚未完成)

    42、,就能用于查验设计系统的功能可行性,随时可对设计进行仿真模拟。即在远离门级的高层次上进行模拟,使设计者对整个工程设计的结构和功能的可行性做出决策。(3)VHDL语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计的再利用功能,符合市场所需求的,大规模系统高效、高速的完成必须由多人甚至多个开发组共同并行工作才能实现的特点。VHDL中设计实体的概念、程序包的概念、设计库的概念为设计的分解和并行工作提供了有力的支持。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动地把VHDL描述设计转变成为门级网表。这种方式突破了门级电路设计的瓶颈,极大地减少

    43、了电路设计的时间和可能发生的错误,降低了开发成本。应用EDA工具的逻辑优化功能,可以自动地把一个综合后的设计变成一个更高效、更高速的电路系统。反过来,设计者还可以容易地从综合和优化后的电路获得设计信息,返回去更新修改VHDL设计描述,使之更为完善。(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。正因为VHDL硬件描述与具体的工艺技术和硬件结构无关,VHDL设计程序的硬件实现目标器件有广阔的选择范围,其中包括各系列的CPLD、FPGA及各种门阵列实现目标。(6)由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的

    44、设计,在不改变源程序的条件下,只需要改变端口类属参量或函数,就能轻易地改变设计的规模和结构。2 VHDL语言结构如图4.1,一个相对完整的VHDL程序(或称设计实体)至少应包括两个基本组成部分:即实体说明和实体相对应的结构体说明。实际上一个完整的VHDL程序应具有比较固定的结构,它包括4个基本组成部分:库、程序包使用说明、实体说明、与实体对应的结构体说明和配置语句说明。其中,库、程序包使用说明用于打开(调用)本设计实体将要用到的库程序包;实体说明用于描述所设计的系统的外部接口信号或引脚,是可视部分;结构体说明用于描述系统内部的结构和行为,建立输入和输出之间的关系,是不可视部分;配置说明语句主要用于以层次化方式中对特定的设计实体进行元件例化,或是为实体选定某个特定的结构体。设计实体库、程序包使用说明实体(ENTITY)结构体(ARCHITECTURE)配置(CONFIGURATION)GENERIC类属说明PORT端口说明结构体说明结构体功能描述图4.1 VHDL程序设


    注意事项

    本文(数字频率计设计 (3).doc)为本站会员(精***)主动上传,沃文网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知沃文网(点击联系客服),我们立即给予删除!




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服点击这里,给沃文网发消息,QQ:2622162128 - 联系我们

    版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

    Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1

    陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922