欢迎来到沃文网! | 帮助中心 分享知识,传播智慧!
沃文网
全部分类
  • 教学课件>
  • 医学资料>
  • 技术资料>
  • 学术论文>
  • 资格考试>
  • 建筑施工>
  • 实用文档>
  • 其他资料>
  • ImageVerifierCode 换一换
    首页 沃文网 > 资源分类 > DOC文档下载
    分享到微信 分享到微博 分享到QQ空间

    简易电子琴设计 (2).doc

    • 资源ID:1038316       资源大小:603.58KB        全文页数:13页
    • 资源格式: DOC        下载积分:10积分
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: QQ登录 微博登录
    二维码
    微信扫一扫登录
    下载资源需要10积分
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,下载更划算!
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    简易电子琴设计 (2).doc

    1、一摘要 本课程设计主要采用EDA技术设计一个简易的八音符电子琴,它可通过按键输入来控制音响从而演奏出已存入的乐曲。在课程设计中,系统开发平台为WindowsXP,程序设计采用VHDL语言,程序运行平台为MAX+plus。然后编写程序实现电子琴的各项功能,使不同的音阶对应不同频率的正弦波,按下不同的键时发出对应频率的声音。程序通过调试运行,时序仿真,电路功能验证,顺利地实现了设计目标。二.关键词电子琴;EDA;VHDL;音阶;频率三.引言随着信息科学的进步,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快,电子技术的发展进入了划时代的阶段。其中电子技术的核心便是电子设计自动化EDA

    2、(ElectronicDesignAutomatic)技术。EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。本课程设计就是采用EDA技术描述语言VHDL编程实现简易电子琴的各项功能,是EDA技术应用于实际的一个很好的例子。目 录一、 摘要2二、 关键词2三、引言2四、 设计条件及实施方式 1.可选仪器及设备.4 2.实施方式.4 五、 设计任务及要求4六、 课程设计目的5

    3、七、 课程设计原理 1.电子琴发声原理.5 2.电子琴的控制流程.6 3.电子琴各个模块的符号编辑图.7 4.电子琴电路原理图.8八、 实验内容及步骤11九、 课程设计中所遇到的问题及解决方案.12十、 课程设计中的体会.13 EDA 技 术 设 计报 告 书(简易电子琴)四设计条件及实施方式:1、可选仪器及设备:计算机一台、Quartus软件、EDA试验箱一台、U盘2、实施方式:用原理图设计方式完成设计使用功能仿真单独仿真验证各模块的功能。产生顶层模块,完成顶层设计。选择目标器件,编译顶层设计,在编译报告中查看时序分析结果和器件资源使用情况。在分配编辑器中完成引脚分配。下载到芯片并验证设计将

    4、调试好的程序作为论文的一部分提交答辩五设计任务及要求: 基本功能: 设计一个八音符电子琴,通过弹击按键演奏音乐。 扩展功能: 1、能够完成3首以上的切换; 2、音乐能够配合led灯的变换; 3、音乐配合led灯变换与数码管的显示。 评分标准:基本功能:45分 扩展功能1: 10分 扩展功能2: 10分 扩展功能3: 5分六.课程设计目的: 运用VHDL语言对简易电子琴的各个模块进行设计,并使用EDA工具对各模块进行仿真验证。本设计包含如下三个模块:乐曲自动演奏模块,音调发生模块,数控分频模块,最后把各个模块整合后,通过电路的输入输出对应关系连接起来。七、课程设计原理:1、本课程设计目的在于灵活

    5、运用EDA技术编程实现一个简易电子琴的乐曲演奏,它要求在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。按下每个代表不同音阶的按键时,能够发出对应频率的声音。故系统可分为乐曲自动演奏模块(AUTO)、音调发生模块(TONE)和数控分频模块(FENPIN)三部分。电路原理图如图1。2、简易电子琴发声原理介绍:某个琴键按下去的那一个时间段控制电路给扬声器输出固定的频率。而音阶频率的获得是不同音名频率信号从一个基准频率分频而来,因此设计的时候需要用到分频器电路(如图2)。基准频率的获得则用到了lpm_constant模块(如图3)。当我们对某一个特定的频率分频进行分析时发现,如果要一一进

    6、行设计这些分频器回事一个让人头疼的枯燥工作。为了简化这个工作可以通过设计一个“带有一个与置数的计数器”赖建华整个设计过程。图4显示的就是这个计数器,并包括了最后的二分频。将这个计数器”打包“成模块后以备调用,并命名为MUSICAL_NOTE。这个模块包括三个输入端,一个输出端。其中p15.0为初始值输入端,此数应为4位BCD数,48M端接芯片的28管脚,KEY_IN端接相应的“琴键”,输出端接扬声器。3、 简易电子琴控制流程图:“7”键输入“2”键输入“1”键输入分频初始值分频初始值入分频初始值 分频初始值扬声器二分频对750khz基本频率信号进行02000分频系统的整体组装设计原理图:音调发

    7、生模块的符号编辑图音调发生模块发生模块音调发生模块音调发生模块音调发生模块AUTO模块的符号编辑图数控分频模块的符号编辑图八.实验内容及步骤:1、新建电子琴项目music.qdf并保存。新建原理图文件music.bdf,并将其设为top level文件。Quartus内部有很多强大的宏模块可以供我们调用,这样可以使得设计大卫简化。2、根据上述课程设计原理中介绍的原理图以及封装模块原理图在Quartus软件中进行电路原理图的设计。3、根据频率与音调的关系设定基准频率,然后通过分频器控制高、中、低三个音调,夸两个八音度,即设计为八音符电子琴电路。4、歌曲的编译,通过声乐知识将乐谱转化为mif文件,

    8、如图5。5、 当原理图连接完成后进行编译仿真并下载到试验箱。6、 根据下载结果检测功能是否完成、是否正确并加以改进。九.课程设计中所遇到的问题及解决方案:1、设计三首歌曲切换时遇到了切换。其中宏模块lpm_rom仅可存入一首歌,不可实现三首歌的循环播放。也就意味着未完成扩展功能一需要三个宏模块,但存入三个宏模块之后又得考虑怎样来选歌。我们想用数据选择器去实现三首歌的循环播放,开始我们选择了74153双四选一数据选择器,但遇到了单线与总线不匹配的问题,经老师提醒我们选用lpm_mux的三选一的模块,进一步研究发现若是其默认地址线从0开始,为使歌序与歌曲对应需用四选一模块lpm_mux并使一端置空

    9、,则可使功能完成。不过此处有涉及到如何选歌的问题,既然是三首歌切换(要显示1、2、3),那就得用到计数器,通过74160与74161的级联获取简单的1到3的计数器功能。3、LED灯配合音乐的显示,我们选择了由四片7458级联的比较器,在这之下,我们没办法将频率锁定在一个范围内,所以LED灯配合音乐的显示不太明显。此功能虽然实现,却又不足之处,希望在以后的实验中加以改进,因为此方法并不能使LED灯与音调一一对应。4、数码管显示问题虽然分值最小可却最难实现,一开始我们理论上沿着LED灯闪烁的设计的思路是没问题的,但实践中数码管却不显示若LED灯配合音乐的显示。若能让频率锁定在一个频率范围内,则数码

    10、管显示问题迎刃而解。数码管的1至7数字显示应该对应不同范围的频率。十.课程设计中的体会:一开始老师让我们去查资料的时候,我们在图书馆找了许多许多相关资料,但是还是一头雾水,因为电子琴设计的功能介绍最为简单,以致手足无措。好在课本上有电子琴的基本功能电路让我们找到了一些思路再加上学姐的帮助我们能够基本完成任务。最后想谈的是有关EDA技术方面的一些感想,很多问题的出现都是我们曾经所没有在意的细节,比如粗线与细线不能匹配。有时候即使错了也不知道怎么错了,这个不足也需要我们注意。就像粗线与细线不能相接是因为粗线连的是总线,而细线连的是单一地址线。以及后来的三选一模块的地址线初始值默认为0等等都值得我们深入研究。总而言之,通过本次的课程设计我们不仅是弄懂了题目本身的电路,对EDA技术也有了更深一层的了解,另一方面我们对理论在实践中的应用也有了更深的体会,还收获了问题难解的困惑以及功能完成时的欢乐。13


    注意事项

    本文(简易电子琴设计 (2).doc)为本站会员(星星)主动上传,沃文网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知沃文网(点击联系客服),我们立即给予删除!




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服点击这里,给沃文网发消息,QQ:2622162128 - 联系我们

    版权声明:以上文章中所选用的图片及文字来源于网络以及用户投稿,由于未联系到知识产权人或未发现有关知识产权的登记,如有知识产权人并不愿意我们使用,如有侵权请立即联系:2622162128@qq.com ,我们立即下架或删除。

    Copyright© 2022-2024 www.wodocx.com ,All Rights Reserved |陕ICP备19002583号-1

    陕公网安备 61072602000132号     违法和不良信息举报:0916-4228922